Verilog HDL」タグアーカイブ

10進カウンタ (DE0)

続いて第11章 10進カウンタを入力。 これもswitchにbutton0を割り当てた。 動画はこれ。 追記 考えてみれば、カウンタの加算するという機能も実際には論理回路の組み合わせで実装されるんだろうけど、Verilo … 続きを読む

カテゴリー: DE0, FPGA/CPLD | タグ: , , | コメントする

Verilog HDL

FPGA/CPLDを自分の思ったように動かすにはHDLという言語で定義する必要がある。 もともと、ソフト側の人間なので新しい言語には興味がある。 でも、HDLでFPGAの定義を書くのと、PICをC言語で動かすのと根本的に … 続きを読む

カテゴリー: FPGA/CPLD | タグ: , , | コメントする